Volume 43 Issue 3
Apr.  2014
Turn off MathJax
Article Contents

Geng Hongyan, Zhou Zhou, Song Guofeng, Xu Yun. Flip chip bonding technology for IR detectors[J]. Infrared and Laser Engineering, 2014, 43(3): 722-726.
Citation: Geng Hongyan, Zhou Zhou, Song Guofeng, Xu Yun. Flip chip bonding technology for IR detectors[J]. Infrared and Laser Engineering, 2014, 43(3): 722-726.

Flip chip bonding technology for IR detectors

  • Received Date: 2013-07-15
  • Rev Recd Date: 2013-08-15
  • Publish Date: 2014-03-25
  • Two-color and four-color detectors have been emerged as the development of Infrared Focal Plane Array technology. Millions of pixels have arisen on the chips and the spot pitch is even less than 13 micron. So high I/O density makes the fabrication more critical. This is beyond the ability of traditional micro-interconnection technology such as wire bonding (WB) technology and tape automated bonding (TAB) technology. Flip chip hybridization offers numbers of advantages over the widely used wire bonding technique such as small package size, high interconnect density and low cost. It consists of procedures: Under Bump Metallization deposition, indium deposition, reflowing, flip-chip bonding and inserting the underfill. Development of every procedure was performed. And the indium bumps were also emphasized for that indium bumping was a critical technology in the application of high-density interconnection between a focal plane array and a Si read-out integrated circuit (ROIC) by flip chip bonding.
  • [1] Shi Yanli. Choice and development of the third-generation infrared detectors [J]. Infrared Technology, 2013, 35 (1): 104-108. (in Chinese) 史衍丽. 第三代红外探测器的发展与选择[J]. 红外技术, 2013, 35(1): 104-108.
    [2]
    [3] Jin Jupeng, Liu Dan, Wang Jianxin, et al. 320 256 GaAs/ AlGaAs long-wavelength quantum well infrared photodetector focal plane array [J]. Infrared and Laser Engineering, 2012, 41(4): 833-837. (in Chinese) 金巨鹏, 刘丹, 王建新, 等. 320256 GaAs/AlGaAs 长波红外量 子阱焦平面探测器[J]. 红外与激光工程, 2012, 41(4): 833-837.
    [4]
    [5]
    [6] Sang Jun Lee, Zahyun Ku, Ajit Barve, et al. A monolithically integrated plasmonic infrared quantum dot camera[J]. Nat Commun, 2011, 286: 1-6.
    [7]
    [8] Liu Liping. Status and trend of the readout circuit technology for IR FPA [J]. Laser Infrared, 2007, 37 (7): 598-600. (in Chinese) 刘莉萍. 红外焦平面读出电路技术及发展趋势[J]. 红外与 激光工程, 2007, 37(7): 598-600.
    [9] Li Xianjie, Liu Yingbin, Feng Zhen, et al. AlGaAs/GaAs quantum well infrared photodetector focal plane array based on MOCVD technology[J]. Infrared and Laser Engineering, 2007, 36(4): 435-438. (in Chinese) 李献杰,刘英斌,冯震,等.基于MOCVD 技术的长波AlGaAs/ GaAs 量子阱红外焦平面探测器[J]. 红外与激光工程, 2007, 36(4): 435-438.
    [10]
    [11]
    [12] Li Jinjian. The flip chip for in connected of infrared focal- plane[J]. Electronics Packaging, 2010, 10(6): 6-11. (in Chinese) 李金健. 应用于红外焦平面铟柱互连的封装技术[J]. 电子 与封装, 2010, 10(6): 6-11.
    [13]
    [14] Kimberley A Olver. Flip chip hybridization using indium bump technology at ARL, ADA470149. Adelphi MD: Army Research Laboratory, 2007.
    [15]
    [16] Merken P, John J, Zimmermann L, et al. Technology for very dense hybrid detector arrays using electroplated indium solderbumps[J]. IEEE Transactions on Advanced Packaging, 2003, 26(1): 60-64.
    [17]
    [18] Tian Yingtao, Liu Changqing, David Hutt, et al. Electrodeposition of indium for bump bonding [C]//2008 Electronic Components and Technology Conference, 2008: 2096-2100.
    [19]
    [20] Yang Mengli, Feng Zhen. Fabrication of In bump for 128 128 QW infrared photodetector array [J]. Nanoelectronic Device Technology, 2006, 11: 512-514. (in Chinese) 杨孟丽, 冯震. 128128 GaAs 量子阱红外焦平面探测器阵 列铟柱制备[J]. 纳米器件与技术, 2006, 11: 512-514.
    [21] Kim H S, Plis E, Myers S, et al. Improved performance of InAs/GaSb Strained Layer Superlattice detectors with SU-8 passivation[C]//SPIE, 2009, 7467: 1-9.
    [22]
    [23]
    [24] Huang Qiuping, Wang Dongliang, Xu Gaowei, et al.Analysis of the phenomenon of falling off of indium bumps from substrate during reflow process [C]//2010 11th International Conference on Electronic Packaging Technology High Density Packaging, 2010: 267-270.
    [25] Zhang Wanrong, Li Zhiguo, Guo Weiling, et al. The diffusion barrier layer in the ohmic contact of the semiconductor device [J]. Microelectronics Computer, 1998, 15(5): 53-56. (in Chinese) 张万荣, 李志国, 郭伟玲, 等. 半导体器件欧姆接触中的扩 散阻挡层[J]. 微电子学与计算机, 1998, 15(5): 53-56.
    [26]
    [27]
    [28] Xie Heng, Liang Zongjiu, Yang Yaru. Effect of UBM of ROIC on indium bump height [J]. Laser Infrared, 2011, 41(1): 63-66. (in Chinese) 谢珩, 梁宗久, 杨雅茹. 读出电路铟柱打底层对铟柱成球 高度的影响[J]. 激光与红外, 2011, 41(1): 63-66.
    [29] Roesch William J, Suwanna Jittinorasett. Cycling copper flip chip interconnects[J]. Microelectronics Reliability, 2004, 44: 1047-1054.
    [30]
    [31]
    [32] Enric Cabruja, Marc Bigas, Miguel Ullan, et al. Special bump bonding technique for silicon pixel detectors [J]. Nuclear Instruments and Methods in Physics Research A, 2007, 576: 150-153.
    [33]
    [34] Li Fuquan, Wang Chunqing, Zhang Xiaodong. Bump fabrication methods for flip chip [J]. Electronics Process Technology, 2003, 9(l0): 62-66. (in Chinese) 李福泉, 王春青, 张晓东. 倒装芯片凸点制作方法[J]. 电子 工艺技术, 2003, 9(l0): 62-66.
    [35] Huang Qiuping, Xu Gaowei, Luo Le. Indium bump fabricated with electroplating method [C]//2009 International Conference on Electronic Packaging Technology High Density Packaging, 2009: 650-654.
    [36]
    [37] Zhang Peng, Li Zhen, Zhao Kai. The In bump growth on the large scale MCT IR device [J]. Laser Infrared, 2008, 38(8): 781-783. (in Chinese) 张鹏, 李震,赵凯.新型大面阵碲镉汞探测器In 柱生长工艺 研究[J]. 激光与红外, 2008, 38(8): 781-783.
    [38]
    [39] Geng Xiaobao, Pragnesh Patel, Meng D D. A self-adaptive thermal switch array to stabilize the temperature of MEMS devices [C]//2010 IEEE 23rd International Conference on Micro Electro Mechanical Systems, 2010: 148-151
    [40]
    [41]
    [42] Huang Qiuping, Xu Gaowei, Yuan Yuan, et al. Development of indium bumping technology through AZ9260 resist electroplating[J]. J Micromech Microeng, 2010, 20(5): 1-9.
    [43] Chen Mingyuan, Sun Ping, Li Junwu, et al. A flip-chip bonding of Au-In bumps in MEMS device [J]. Chinese Journal of Electron Devices , 2010 , 33(3): 258-261. (in Chinese) 陈明园, 孙萍, 李俊伍, 等. 一种用于MEMS 器件的Au-In 倒装焊技术[J]. 电子器件, 2010, 33(3): 258-261.
    [44]
    [45] Fu Yifeng, Ye Lilei, Liu Johan. Thick film patterning by lift-off process using double-coated single photoresists [J]. Materials Letters, 2012, 76: 117-119.
    [46]
    [47] Jiang Jutao, Stanley Tsao, Thomas O'Sullivan, et al. Fabrication of indium bumps for hybrid infrared focal plane array applications[J]. Infrared Physics Technology, 2004, 45: 143-151.
    [48]
    [49]
    [50] Shen Tianzhu. Indium bump reflowing flip chip inter- connection of infrared focal plane array detectors[J]. Infrared Technology, 2007, 29(2): 96-98. (in Chinese) 沈天铸. 红外焦平面探测器互连中的In 缩球工艺[J]. 红外 技术, 2007, 29(2): 96-98.
    [51] Aidar M Biktashov1, Nikolai B Kuzmin, Andrei G Paulish. indium bumps investigation for the flip-chip assembly [C]// 7th International Siberian Workshop and Tutorial EDM'2006, 2006.
    [52]
    [53]
    [54] Frank Greer, Matthew Dickie, Vasquez R P, et al. Plasma treatment methods to improve indium bump bonding via indium oxide removal [J]. J Vac Sci Technol B, 2009, 27(5): 2132-2137.
    [55]
    [56] Zhang Caiyun, Ren Chengping. Flip-chip bonding technology[J]. Electronics Packaging, 2005, 5(4): 13-15. (in Chinese) 张彩云, 任成平. 凸点芯片倒装焊接技术[J]. 电子与封装, 2005, 5(4): 13-15.
    [57] Zhang Guodong, Gong Qibing, Su Hongyi, et al. Research on flip-chip bonding techniques for hybrid focal plane array applications[J]. Infrared Technology, 2006, 28(3): 125-128. (in Chinese) 张国栋, 龚启兵, 苏宏毅, 等. 混成式焦平面阵列芯片倒装 互连技术研究[J]. 红外技术, 2006, 28(3): 125-128.
    [58]
    [59] Gemme C, Fiorello A M, Gagliardi G, et al. Study of indium bumps for the ATLAS pixel detector[C]//IEEE NSSMIC 2003 Conference, 2003, N3-2: 59-62.
    [60]
    [61]
    [62] Zhang Xining. Improved blind element inspection algorithm of infrared FPA array [J]. Laser Infrared, 2010, 40(10): 1149-1153. (in Chinese) 张熙宁. 一种改进的红外焦平面阵列盲元检测算法[J]. 激 光与红外, 2010, 40(10): 1149-1153.
    [63] Gilleo K. New generation underfills power the 2nd flip chip revolution [C]//Pan Pacific Microelectronics Symposium, 1996: 147-154.
    [64]
    [65] Zhang Zhuqing, Wong C P. Recent advances in flip-chip underfill: materials, process, and reliability [J]. IEEE Transactions on Advanced Packing, 2004, 27(3): 515-524.
  • 加载中
通讯作者: 陈斌, bchen63@163.com
  • 1. 

    沈阳化工大学材料科学与工程学院 沈阳 110142

  1. 本站搜索
  2. 百度学术搜索
  3. 万方数据库搜索
  4. CNKI搜索

Article Metrics

Article views(635) PDF downloads(330) Cited by()

Related
Proportional views

Flip chip bonding technology for IR detectors

  • 1. Nano-Optoelectronics Laboratory,Institute of Semiconductors,Chinese Academy of Sciences,Beijing 100083,China

Abstract: Two-color and four-color detectors have been emerged as the development of Infrared Focal Plane Array technology. Millions of pixels have arisen on the chips and the spot pitch is even less than 13 micron. So high I/O density makes the fabrication more critical. This is beyond the ability of traditional micro-interconnection technology such as wire bonding (WB) technology and tape automated bonding (TAB) technology. Flip chip hybridization offers numbers of advantages over the widely used wire bonding technique such as small package size, high interconnect density and low cost. It consists of procedures: Under Bump Metallization deposition, indium deposition, reflowing, flip-chip bonding and inserting the underfill. Development of every procedure was performed. And the indium bumps were also emphasized for that indium bumping was a critical technology in the application of high-density interconnection between a focal plane array and a Si read-out integrated circuit (ROIC) by flip chip bonding.

Reference (65)

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint
    Return
    Return